C:/systemc-2.1.v1/src/sysc/utils/sc_report.h

#include <exception>
#include <string>
#include "sysc/utils/sc_report_handler.h"

ネームスペース

namespace  sc_core

構成

class  sc_core::sc_report

マクロ定義

#define SC_REPORT_H   1
#define SC_DEFAULT_INFO_ACTIONS   (SC_LOG | SC_DISPLAY)
#define SC_DEFAULT_WARNING_ACTIONS   (SC_LOG | SC_DISPLAY)
#define SC_DEFAULT_ERROR_ACTIONS   (SC_LOG | SC_CACHE_REPORT | SC_THROW)
#define SC_DEFAULT_FATAL_ACTIONS   (SC_LOG | SC_DISPLAY | SC_CACHE_REPORT | SC_ABORT)
#define SC_REPORT_INFO(id, msg)
#define SC_REPORT_WARNING(id, msg)
#define SC_REPORT_ERROR(id, msg)
#define SC_REPORT_FATAL(id, msg)
#define sc_assert(expr)   ((void) ((expr) ? 0 : (SC_REPORT_FATAL( SC_ID_ASSERTION_FAILED_ , #expr ), 0)))

型定義

typedef unsigned sc_core::sc_actions
typedef std::exception sc_core::sc_exception

列挙型

enum  sc_core::sc_severity {
  sc_core::SC_INFO = 0, sc_core::SC_WARNING, sc_core::SC_ERROR, sc_core::SC_FATAL,
  sc_core::SC_MAX_SEVERITY
}
enum  {
  sc_core::SC_UNSPECIFIED = 0x0000, sc_core::SC_DO_NOTHING = 0x0001, sc_core::SC_THROW = 0x0002, sc_core::SC_LOG = 0x0004,
  sc_core::SC_DISPLAY = 0x0008, sc_core::SC_CACHE_REPORT = 0x0010, sc_core::SC_INTERRUPT = 0x0020, sc_core::SC_STOP = 0x0040,
  sc_core::SC_ABORT = 0x0080
}

関数

const std::string sc_core::sc_report_compose_message (const sc_report &)

変数

const char sc_core::SC_ID_UNKNOWN_ERROR_ [] = "unknown error"
const char sc_core::SC_ID_WITHOUT_MESSAGE_ [] = ""
const char sc_core::SC_ID_NOT_IMPLEMENTED_ [] = "not implemented"
const char sc_core::SC_ID_INTERNAL_ERROR_ [] = "internal error"
const char sc_core::SC_ID_ASSERTION_FAILED_ [] = "assertion failed"
const char sc_core::SC_ID_OUT_OF_BOUNDS_ [] = "out of bounds"

マクロ定義

#define sc_assert ( expr   )     ((void) ((expr) ? 0 : (SC_REPORT_FATAL( SC_ID_ASSERTION_FAILED_ , #expr ), 0)))

#define SC_DEFAULT_ERROR_ACTIONS   (SC_LOG | SC_CACHE_REPORT | SC_THROW)

#define SC_DEFAULT_FATAL_ACTIONS   (SC_LOG | SC_DISPLAY | SC_CACHE_REPORT | SC_ABORT)

#define SC_DEFAULT_INFO_ACTIONS   (SC_LOG | SC_DISPLAY)

#define SC_DEFAULT_WARNING_ACTIONS   (SC_LOG | SC_DISPLAY)

#define SC_REPORT_ERROR ( id,
msg   ) 

値:

sc_core::sc_report_handler::report( \
            sc_core::SC_ERROR, id, msg, __FILE__, __LINE__ )

#define SC_REPORT_FATAL ( id,
msg   ) 

値:

sc_core::sc_report_handler::report( \
            sc_core::SC_FATAL, id, msg, __FILE__, __LINE__ )

#define SC_REPORT_H   1

#define SC_REPORT_INFO ( id,
msg   ) 

値:

sc_core::sc_report_handler::report( \
            sc_core::SC_INFO, id, msg, __FILE__, __LINE__ )

#define SC_REPORT_WARNING ( id,
msg   ) 

値:


SystemCに対してFri Jun 6 07:39:54 2008に生成されました。  doxygen 1.5.6