クラス sc_core::sc_thread_process

#include <sc_process_int.h>

sc_core::sc_thread_processに対する継承グラフ

Inheritance graph
[凡例]

すべてのメンバ一覧

Public メソッド

virtual const char * kind () const
void add_monitor (sc_process_monitor *monitor_p)
void remove_monitor (sc_process_monitor *monitor_p)
void signal_monitors (int type=0)

Protected メソッド

 sc_thread_process (const char *nm, SC_ENTRY_FUNC fn, sc_process_host *host)
virtual ~sc_thread_process ()
void set_stack_size (size_t size)
virtual void prepare_for_simulation ()
virtual bool ready_to_run ()
void wait (const sc_event &)
void wait (sc_event_or_list &)
void wait (sc_event_and_list &)
void wait (const sc_time &)
void wait (const sc_time &, const sc_event &)
void wait (const sc_time &, sc_event_or_list &)
void wait (const sc_time &, sc_event_and_list &)
bool trigger_dynamic (sc_event *)
void set_next_exist (sc_thread_handle next_p)
sc_thread_handle next_exist ()
void set_next_runnable (sc_thread_handle next_p)
sc_thread_handle next_runnable ()

Protected 変数

size_t m_stack_size
sc_corm_cor
sc_pvector< sc_process_monitor * > m_monitor_q

フレンド

class sc_event
class sc_module
class sc_process_table
class sc_simcontext
class sc_runnable
void wait (const sc_event &, sc_simcontext *)
void wait (sc_event_or_list &, sc_simcontext *)
void wait (sc_event_and_list &, sc_simcontext *)
void wait (const sc_time &, sc_simcontext *)
void wait (const sc_time &, const sc_event &, sc_simcontext *)
void wait (const sc_time &, sc_event_or_list &, sc_simcontext *)
void wait (const sc_time &, sc_event_and_list &, sc_simcontext *)
void sc_thread_cor_fn (void *)
void sc_cthread_cor_fn (void *)
void sc_set_stack_size (sc_thread_handle, size_t)


コンストラクタとデストラクタ

sc_core::sc_thread_process::sc_thread_process ( const char *  nm,
SC_ENTRY_FUNC  fn,
sc_process_host host 
) [protected]

sc_core::sc_thread_process::~sc_thread_process (  )  [protected, virtual]


関数

virtual const char* sc_core::sc_thread_process::kind (  )  const [inline, virtual]

sc_core::sc_process_bを再定義しています。

sc_core::sc_cthread_processで再定義されています。

void sc_core::sc_thread_process::add_monitor ( sc_process_monitor monitor_p  )  [inline]

void sc_core::sc_thread_process::remove_monitor ( sc_process_monitor monitor_p  )  [inline]

void sc_core::sc_thread_process::signal_monitors ( int  type = 0  ) 

void sc_core::sc_thread_process::set_stack_size ( size_t  size  )  [protected]

void sc_core::sc_thread_process::prepare_for_simulation (  )  [protected, virtual]

sc_core::sc_cthread_processで再定義されています。

bool sc_core::sc_thread_process::ready_to_run (  )  [inline, protected, virtual]

sc_core::sc_cthread_processで再定義されています。

void sc_core::sc_thread_process::wait ( const sc_event e  )  [inline, protected]

void sc_core::sc_thread_process::wait ( sc_event_or_list el  )  [inline, protected]

void sc_core::sc_thread_process::wait ( sc_event_and_list el  )  [inline, protected]

void sc_core::sc_thread_process::wait ( const sc_time t  )  [inline, protected]

void sc_core::sc_thread_process::wait ( const sc_time t,
const sc_event e 
) [inline, protected]

void sc_core::sc_thread_process::wait ( const sc_time t,
sc_event_or_list el 
) [inline, protected]

void sc_core::sc_thread_process::wait ( const sc_time t,
sc_event_and_list el 
) [inline, protected]

bool sc_core::sc_thread_process::trigger_dynamic ( sc_event e  )  [protected]

void sc_core::sc_thread_process::set_next_exist ( sc_thread_handle  next_p  )  [inline, protected]

sc_thread_handle sc_core::sc_thread_process::next_exist (  )  [inline, protected]

sc_core::sc_cthread_processで再定義されています。

void sc_core::sc_thread_process::set_next_runnable ( sc_thread_handle  next_p  )  [inline, protected]

sc_thread_handle sc_core::sc_thread_process::next_runnable (  )  [inline, protected]


フレンドと関連する関数

friend class sc_event [friend]

friend class sc_module [friend]

sc_core::sc_process_bを再定義しています。

sc_core::sc_cthread_processで再定義されています。

friend class sc_process_table [friend]

sc_core::sc_cthread_processで再定義されています。

friend class sc_simcontext [friend]

sc_core::sc_cthread_processで再定義されています。

friend class sc_runnable [friend]

sc_core::sc_process_bを再定義しています。

void wait ( const sc_event ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( sc_event_or_list ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( sc_event_and_list ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( const sc_time ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( const sc_time ,
const sc_event ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( const sc_time ,
sc_event_or_list ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void wait ( const sc_time ,
sc_event_and_list ,
sc_simcontext  
) [friend]

sc_core::sc_cthread_processで再定義されています。

void sc_thread_cor_fn ( void *  arg  )  [friend]

void sc_cthread_cor_fn ( void *  arg  )  [friend]

sc_core::sc_cthread_processで再定義されています。

void sc_set_stack_size ( sc_thread_handle  thread_h,
size_t  size 
) [friend]


変数


このクラスの説明は次のファイルから生成されました:

SystemCに対してFri Jun 6 07:40:51 2008に生成されました。  doxygen 1.5.6