C:/systemc-2.1.v1/src/sysc/communication/sc_signal_ports.h

#include "sysc/communication/sc_event_finder.h"
#include "sysc/communication/sc_port.h"
#include "sysc/communication/sc_signal_ifs.h"
#include "sysc/datatypes/bit/sc_logic.h"
#include "sysc/tracing/sc_trace.h"

ネームスペース

namespace  sc_core

構成

struct  sc_core::sc_trace_params
class  sc_core::sc_in< T >
class  sc_core::sc_in< bool >
class  sc_core::sc_in< sc_dt::sc_logic >
class  sc_core::sc_inout< T >
class  sc_core::sc_inout< bool >
class  sc_core::sc_inout< sc_dt::sc_logic >
class  sc_core::sc_out< T >

型定義

typedef sc_pvector
< sc_trace_params * > 
sc_core::sc_trace_params_vec

関数

template<typename T>
::std::ostream & sc_core::operator<< (::std::ostream &os, const sc_in< T > &a)
template<typename T>
::std::ostream & sc_core::operator<< (::std::ostream &os, const sc_inout< T > &a)
template<class T>
void sc_core::sc_trace (sc_trace_file *tf, const sc_in< T > &port, const std::string &name)
template<class T>
void sc_core::sc_trace (sc_trace_file *tf, const sc_inout< T > &port, const std::string &name)

SystemCに対してFri Jun 6 07:39:53 2008に生成されました。  doxygen 1.5.6